Quartus II 13.1及modelsim与modelsim-altera安装教程

一.Quartus安装

转自:https://blog.csdn.net/m0_73929002/article/details/139293479
1.首先下载相关资料
下载链接:[百度网盘 请输入提取码][Link 1]
2.下载的是压缩包,解压后可以看到13个文件
pic_285643b5.png
先打开QuartusSetup-13.1.0.162.exe文件开始安装。
3.安装流程
(1)打开后点击next
pic_22536641.png
(2)选择第一个accept,再点击next
pic_ea63e010.png
(3)选择文件夹可以自定义安装的位置,尽量建立一个新的文件夹(路径不包括中文和特殊符号)来存放,不然很多子文件夹可能会填的很乱….,选择好后点击next
pic_a42e2df3.png
(4)除了最后一个不勾选外,其他全选(默认情况),当然也可以根据自己的芯片包需要更改Devices里面的内容,然后Next
pic_c7fff15d.png
(5)Summary确保有足够的安装空间,确认后点击Next
pic_7ded5970.png
(6)这里就开始自动安装了,需要等待一段时间
如果你之前安装过quartus却没有删除干净的话,它可能会问你是不是要建立quartus(copy),最好还是先把之前的卸载完全再重装(卸载教程在后面)
pic_6df1fc47.png
(7)完成安装后直接点击Finish,最后一个feedback不用框选
pic_aa4eca7b.png
4.破解流程
(1)64位系统解压x64破解器文件,打开破解器.exe文件
pic_bee5abea.png
pic_d60b3b18.png
选择quartus安装目录下/bin64下的sys_cpt.dll文件,打开后点击破解器的下一步(一定要关闭软件先!!!),完成破解1后再点完成,进行gcl_afcq.dll的破解
pic_ac859852.png
pic_615e5908.png
pic_66a3d0a9.png
pic_cb0d3086.png
打开软件会提示30日试用,选择最后一项,选择pic_2c00b5e1.png
pic_d376c4c3.png
再用记事本打开license.dat文件将三个HOSTID的值改为NIC的任一值(我这里选择了第一个,默认=XXXXX),更改完成后点击文件->保存
pic_7a64c74c.png
在option中选择更改后的license.dat的地址,显示Version到2035即说明成功破解,点击OK即可打开软件
pic_d115ccce.png

二.Modelsim-altera安装

1.选择ModelSimSetup-13.1.0.162.exe运行,开始安装
pic_4222daa8.png
2.安装过程
(1)点击next
pic_c453ebe3.png
(2)选择第一个安装,next
pic_c3677cb5.png
(3)选择accept然后next
pic_24617be5.png
(4)单击文件夹可以更改安装位置,选择完成后next
pic_992d2614.png
(5)确认空间充足后next
pic_e1fb4f3c.png
(6)等待安装完成
pic_07fd493a.png
(7)不用点框选,直接Finish
pic_cb29abeb.png

三.ModelSim安装

1.版本选择,32位系统选择上面win32,64位系统选择下面win64,这里我以64位的安装为例
pic_05bb939f.png
2.解压缩文件,双击运行win64-10.4-se.exe文件
pic_91f6ec41.png
3.安装流程
(1)直接单击next
pic_180d7e5c.png
(2)单击Browse可以更改安装位置,单击next
pic_54423d66.png
(3)直接点击Agree
pic_93d0578b.png
(4)等待安装完成
pic_0d5c4f3d.png
(5)50%时候会跳两个选型全选YES
pic_8c950719.png
pic_6c3e914a.png
pic_61142aa8.png
(6)最后跳出的窗口选择NO,禁止自动更新
pic_5109ad92.png
(7)最后Done完成安装
pic_73f69aaf.png
4.破解过程
(1)直接打开/win64/modelsim.exe会提示无注册,软件会自动关闭
pic_a3571671.png
pic_e61ffbbc.png
pic_eea0bf89.png
(2)修改win64文件夹中mgls64.dll和mgls.dll的属性,取消勾选只读
pic_6136eebb.png
(3)打开crack文件夹,将里面的MentorKG.exe和patch_dll.bat一起拷贝到modelsim安装目录里的win64/win32(看第一步选择了哪个系统)下
pic_4621c74b.png
pic_40992eda.png
(4)先确认关闭了杀毒软件,到之前win64文件夹中,运行patch_dll.bat,会自动打开cmd窗口,生成license文件,直接将其另存为win64文件夹当中
(默认存放在C:\Users\user\AppData\Local\Temp)
pic_d5603ded.png
(5)右键我的电脑点击属性,在系统界面中先点击高级系统设置,再点击环境变量
pic_1fba3bab.png
(6)点击新建系统变量,设置环境变量名MGLS_LICENSE_FILE,变量值为license放置的路径,最后确定
pic_a2dc2987.png
(7)返回后在系统属性界面再点击确定
pic_ec499809.png
(8)重新打开modelsim se-64成功运行说明破解成功(最好重启一下电脑)
pic_7611928f.png

四.Quartus和Modelsim的配置

1.通过软件快捷方式或者开始界面搜索打开quartus ii
pic_fa7d56f8.png
(1)打开Tools->option界面,选择EDA Tool Options,点击ModelSim和ModelSim-Altera的…选择文件
pic_2b01f327.png
pic_4dd6a2d3.png
(2)ModelSim中选择win64文件夹,ModelSim-Altera中选择win32aloem文件夹,最后点击OK
pic_f9f370df.png
(1)配置compiler,Tools->Launch Simulaton Library Compiler
pic_ea947344.png
(2)Executable location选择Modelsim的win64文件夹,Output directory选择output_files(可以换其他文件夹),点击Start
pic_64086097.png
(3)编译成功显示全绿(有warning可以不管)
pic_bf5d7dc1.png

五.遇到的问题

** Error: (vdel-42) Unsupported ModelSim library format for “F:/FPGA_ FILE/FSM/simulation/modelsim/rtl _work”. (Format: 4 )
. ** Warning: (vdel-57) Library ‘F:/FPGA_ FILE/FSM/simulation/modelsim/rtl_ work’ is missing or is not a valid ModelSim library.
** Error: D:/altera/13.0/modelsim_ ase/win32aloem/vdel failed.
modelsim-altera软件附带了预编译的仿真库,如果使用modelsim-altera软件,则不会编译仿真库(错误中文翻译)
删除当前工程的simultaion文件夹重新仿真即可
The ModelSim-Altera software comes packaged with precompiled simulation libraries
pic_900a7855.png
Executable location改为ModelSim的,而不是modelsim-altera
pic_0b8dc5b0.png
Failed to access library ‘cycloneive_ver’ at “cycloneive_ver”
pic_fc950e19.png
EDA tools里面配置可能有误,可以试下加\,或者重配置

六.卸载

Modelsim是和开始界面的快捷方式放一起的,右键快捷方式打开文件所在位置即可找到,运行uninstall 即可卸载
pic_8d2d896a.png
quartus ii 卸载也是在开始的文件快捷方式当中,不过在文件外
pic_2aa1500e.png

七.代码仿真

Quartus II 13.1软件自10.0版本以后,便不再自带波形仿真工具,需要借助Modelsim进行波形仿真。一般安装Quartus II的时候,会默认安装Modelsim Altera,如果需要用到Modelsim软件的话,需要额外再安装一下。本文将介绍一下Quartus II 13.1软件与Modelsim(需要提前安装好)或Modelsim Altera进行波形联合仿真的具体操作。
话不多说,直接上图,根据以下步骤操作即可 。
pic_a7eca7e3.png
图1 步骤1
pic_420bb488.png
图2 步骤2
对于图2,这里需要注意一个问题,对于Modelsim Altera,如果这样选择路径后,后面仿真时会报错,可以在路径最后面在添加“\”。
pic_17eaf716.png
图3 步骤3
pic_f10a2513.png
图4 步骤4
pic_4361790e.png
图5 步骤5
pic_4019f331.png
图6 步骤6
pic_7b44dc31.png
图7 步骤7
pic_5f1385c9.png
图8 步骤8
最后就是波形仿真的结果了(这里以Modelsim进行RTL仿真为例):
pic_6bc996e7.png
图9 modelsim添加波形
pic_f33c864d.png
图10 modelsim查看波形与设置
对于图10,可以选择Ctrl+A全选,Ctrl+G对信号分组,右键->Radix可以设置信号的形式。
更多Modelsim软件仿真波形的基本操作可以参考视频:
https://www.bilibili.com/video/BV1k44y157Sm/
[login]https://t.me/tupict/770
https://t.me/tupict/773
https://t.me/tupict/774(登陆可见)[/login]